Перейти к содержимому
Для публикации в этом разделе необходимо провести 50 боёв.
sports_interest

Ubuntu 22.04, всё полетело - драйвера, PortProton, теперь только скучать.

В этой теме 12 комментариев

Рекомендуемые комментарии

Участник
154 публикации
7 763 боя

Сначала клиент начал просить полное обновление, не хотел этого делать и навернул драйвера установленные год назад на свой бук с амдшной графикой - теперь не могу установить, естественно PortProton навернулся. Переустанавливать ОС не охота, так как много тут всяких дел, пока не охота, если доделаю дело на следующей неделе - кончено попробую поставить новее ОС. АльтЛинукс что-то надежд мне не внушил никак, пробовал пользоваться - всё как-то плохо. Ладно, небольшой перерыв получится, бывает.

Можно попробовать отдать специалистам, но не охота - как то сам привык справляться.

ASUSTeK COMPUTER INC. VivoBook

AMD® Ryzen 7 5800h with radeon graphics × 16

хотя что-то там пытался с графикой сделать,  теперь эта получилась как-то AMD® Radeon graphics.

Печаль.

Кстати - играл не в вэйланде, а в стандартном окне...фпс согласно дисплею графика попроще конечно, а может там просто в файле...там почему-то всё закоментировано оказалось...раскомментировал вэйлэнд, но уже как-бы пофиг, всё равно всё полетело.

 

Изменено пользователем sports_interest
  • Круто 1
  • Скучно 2

Рассказать о публикации


Ссылка на публикацию
2 518
[ZZTOP]
Участник, Коллекционер
3 383 публикации
37 890 боёв

Играть в корабли на ноуте уже само по себе рисковано, а тут ещё жара...

Про убунту вообще молчу, оно и под виндо й то работает не идеально.)))

Рассказать о публикации


Ссылка на публикацию
Участник
154 публикации
7 763 боя
Сегодня в 17:43:15 пользователь Giulio_Cesare сказал:

Играть в корабли на ноуте уже само по себе рисковано

никакого риска, всё нормально идёт, обновления подкачали.

Сегодня в 17:43:15 пользователь Giulio_Cesare сказал:

Про убунту вообще молчу

это зря, очень стабильная ОСка.

Поделаю дела - и поставлю новую версию. Альтлинукс не хочу, всё равно сам свой проц делаю, а он ни с чем совместим не будет, так как вообще другой, от кончиков ушей и до самого хвоста. Надоели эти герметисты, мало того что гермитизм, так ещё всё через одно место. По другому в IT не вкатить видимо :Smile_coin:.

Цитата

В широком смысле герметизм, или герметицизм[англ.] (англ. Hermeticism), а также герметическая философия (герметические науки, герметическое искусство) — конгломерат эзотерических традиций Запада, включающих в себя мистико-философские, алхимические, астрологические, магические и мантические учения и тексты[7].

Надо отметить, что свой проц я начал делать не просто так, а с того момента, когда один чудик волшебный, бердящий школьными алгоритмами и доказывая всем что они езмь вяршина всяго, окончательно спятил, когда убедился что мой алгоритм работает (он конено убедился и в том, что работает он эффективнее школьного на разницу между квадратом числа и удвоенным числом, взависимости от объёма требуемых расчётов - объём работы просто число), включать он начал дурачка так эффективно, что его болтовня переросла в доказательства того, что предложение человеческого языка не может передавать ни смысла ни интонации. После этого я понял - в IT именно всё так :Smile_coin:, и процессоры и код, и речи включительно :Smile_coin:.

 

Да это треш похлеще с алгоритмом тем - управление памятью кэша команд для процессора на статической логике, это прросто дэмка помигать диодами, но на самом деле - цепь управления памятью кэша команд процессора. Жесть, как непривычен мне этот язык программирования, очень тяжко для нуба (сама схема управления отлажена отдельным проектом и ещё в симуляторе, делаю просто помигать диодами). Кто любит трэш - тому сюда, а если бережёте зрение, то не надо

Скрытый текст
Цитата

module Trs
(input S, R, 
 output reg Q);
always @(*) begin
Q =0;
if (S==1) Q=1;
if (R==1) Q=0;
end
endmodule

module MyCF
(input StartTact, Set, ResLim, ResFil, Fillsin, limit,  Run, Zero, Unit, InJamp, InFillsin, InStub1, InFStub, InFStub1, Sel, Sel2,  
 output  Finish, OutJamp, OutFillsin, OutStub1, OutFStub, OutFStub1,  MemoriOut);
wire sig0;
bufif1 bf0(InFillsin, Fillsin, Sel);
Trs trs0(InFillsin, ResFil, trs0_0);
and a0(f1_0, Sel, limit);
Trs trs1(f1_0, ResLim, OutFStub);
not n0(OutFStub1, OutFStub);
xor x0(x0_0, OutFStub1, Sel2);
//bufif1 bf1(InFillsin, InFStub1, InFStub);
bufif1 bf2(OutFillsin, InFillsin, x0_0);
and an0(an0_0,  trs0_0, Unit);
and an1(an1_0,  trs0_0, Zero);
Trs trs2(an0_0, an1_0, trs2_0);
and an2(an2_0,  sig0, trs2_0);
and an3(an3_0,  InJamp, an2_0);
and an4(MemoriOut,  an3_0, StartTact);
and an5(an5_0, Sel, Run);
bufif1 bf3(InJamp, an5_0, an5_0);
Trs trs3(Set, MemoriOut, sig0);
xor x1(x1_0, trs2_0, sig0);
//bufif1 bf4(InJamp, InStub1, InStub1);
and an6(an6_0,  x1_0, x0_0);
not n1(OutStub1, an6_0);
bufif1 bf5(OutJamp, InJamp, an6_0);
and an7(Finish,  MemoriOut, OutFStub);
endmodule

module MyC
(input StartTact, Set, ResLim, ResFil, Fillsin, limit,  Run, Zero, Unit, InJamp, InFillsin, InStub1, InFStub, InFStub1, Sel, Sel2,
 output  Finish, OutJamp, OutFillsin, OutStub1, OutFStub, OutFStub1,  MemoriOut);
wire sig0;
bufif1 bf0(InFillsin, Fillsin, Sel);
Trs trs0(InFillsin, ResFil, trs0_0);
and a0(f1_0, Sel, limit);
Trs trs1(f1_0, ResLim, OutFStub);
not n0(OutFStub1, OutFStub);
xor x0(x0_0, OutFStub1, Sel2);
bufif1 bf1(InFillsin, InFStub1, InFStub);
bufif1 bf2(OutFillsin, InFillsin, x0_0);
and an0(an0_0,  trs0_0, Unit);
and an1(an1_0,  trs0_0, Zero);
Trs trs2(an0_0, an1_0, trs2_0);
and an2(an2_0,  sig0, trs2_0);
and an3(an3_0,  InJamp, an2_0);
and an4(MemoriOut,  an3_0, StartTact);
and an5(an5_0, Sel, Run);
bufif1 bf3(InJamp, an5_0, an5_0);
Trs trs3(Set, MemoriOut, sig0);
xor x1(x1_0, trs2_0, sig0);
bufif1 bf4(InJamp, InStub1, InStub1);
and an6(an6_0,  x1_0, x0_0);
not n1(OutStub1, x1_0);
bufif1 bf5(OutJamp, InJamp, an6_0);
and an7(Finish,  MemoriOut, OutFStub);
endmodule

module MyCount
(input clk, sens, output led);
reg [25:0] count =1;
reg led_reg = 0;
assign led = led_reg;
wire sens1;
assign sens1 = sens; 
always @ (posedge clk)
begin
if (sens1 == 1) begin
led_reg = 1;
 count = count +1;
if (count == 50000000) begin
count <= 1;
led_reg = ~ led_reg;
end
end
led_reg = 0;
end
endmodule

//module Mych
//(input StartTact, Set,  ResLim, ResFil, Fillsin, limit, Run, Zero, Unit, InJamp, input wire  [0:0]se[0:5],
//output  FinishTact, Finish, output wire [0:0]ActivMemo[0:5]);
//genvar i;
//generate
//wire [0:2]OtJam, OutFillsi[0:5], OutStu1[0:5], OutFStu[0:5], OutFStu1[0:5], ORi[0:5], Fini[0:5];
//MyCF mycf(StartTact, Set,  ResLim, ResFil, Fillsin, limit, Run, Zero, Unit,InJamp,,,,
//,se[0],,Fini[0],ORi[0], OutFillsi[0], OutStu1[0], OutFStu[0], OutFStu1[0], ActivMemo[0]);
//bufif1 bf0(FinishTact, ActivMemo[0], ActivMemo[0]);
//bufif1 bf1(Finish, Fini[0], Fini[0]);
//for (i=0; i<5; i=i+1 ) begin:MyC_generation
//MyC myc(StartTact, Set,  ResLim, ResFil, Fillsin, limit, Run, Zero, Unit, ORi[i], OutFillsi[i], OutStu1[i], OutFStu[i], OutFStu1[i]
//,se[i+1],se[i], Fini[i+1],ORi[i+1], OutFillsi[i+1], OutStu1[i+1], OutFStu[i+1], OutFStu1[i+1], ActivMemo[i+1]);
//bufif1 bf0(FinishTact, ActivMemo[i+1], ActivMemo[i+1]);
//bufif1 bf1(Finish, Fini[i+1], Fini[i+1]);
//end
//endgenerate
//endmodule

module Mych();
wire  [0:0]se[0:5];
wire [0:0]ActivMemo[0:5];
wire Start0,Start, Start1,  Set, Fillsin1, EndFillsin;
wire Finish, FinishTact, Unit,InJamp;
wire sens, led;
wire limit0;
genvar i;
generate
wire [0:2]OtJam, OutFillsi[0:5], OutStu1[0:5], OutFStu[0:5], OutFStu1[0:5], ORi[0:5], Fini[0:5];
MyCF mycf(StartTact, Set,  ResLim, ResFil, Fillsin1, limit0, Run, Zero, Unit,InJamp,,,,
,Fillsin1,,Fini[0],ORi[0], OutFillsi[0], OutStu1[0], OutFStu[0], OutFStu1[0], ActivMemo[0]);
bufif1 bf0(FinishTact, ActivMemo[0], ActivMemo[0]);
bufif1 bf1(Finish, Fini[0], Fini[0]);
for (i=0; i<5; i=i+1 ) begin:MyC_generation
MyC myc(StartTact, Set,  ResLim, ResFil, Fillsin, limit0, Run, Zero, Unit, ORi[i], OutFillsi[i], OutStu1[i], OutFStu[i], OutFStu1[i]
,se[i+1],se[i], Fini[i+1],ORi[i+1], OutFillsi[i+1], OutStu1[i+1], OutFStu[i+1], OutFStu1[i+1], ActivMemo[i+1]);
bufif1 bf0(FinishTact, ActivMemo[i+1], ActivMemo[i+1]);
bufif1 bf1(Finish, Fini[i+1], Fini[i+1]);
end
endgenerate
assign se[5] = Start;
assign limit0 = Start;
//bufif1 bf0Mych(se[5], Start, Start);
Trs trs_Finish(Finish,Set,trs_Finish0);
bufif1 bf1Mych(Set, trs_Finish0, trs_Finish0);
Trs trs_Start(Start1,,Start0);
not n_1(Start, Start0);
buf buf1(Start1,Start);
//Trs trs_Start(Start1,,Start0);
Trs trs_Boot(Start0,EndFillsin,Fillsin1);
buf buf2(EndFillsin,Fillsin1);
Trs trs_Load(EndFillsin,ControlTact,Unit);
bufif1 bf2(Set, Unit, Unit);
Trs trs_FinishTact(FinishTact ,,ControlTact);
MyCount MyCt(clk, ControlTact, led); 
endmodule
 

Ещё на закончил, но осталось с часа на час делов (но с учётом того, что только по вечерам - пару тройку дней). Шесть диодов у меня на FPGA-хе, tang nano 9k. На что это похоже...на вышивание на поверхности, которая проходит через несколько червоточин, как петли мёбиуса, только много и сложнее.

 

Изменено пользователем sports_interest

Рассказать о публикации


Ссылка на публикацию
1 079
[MAD-B]
Старший бета-тестер, Коллекционер
1 510 публикаций
41 643 боя

Автор, ты там изредка выдыхай что ли :cap_haloween:

  • Ха-Ха 4

Рассказать о публикации


Ссылка на публикацию
2 614
[8---D]
Старший бета-тестер, Участник, Коллекционер
3 298 публикаций
30 665 боёв
В 14.07.2024 в 16:39:59 пользователь sports_interest сказал:

Сначала клиент начал просить полное обновление, не хотел этого делать и навернул драйвера установленные год назад на свой бук с амдшной графикой - теперь не могу установить, естественно PortProton навернулся. Переустанавливать ОС не охота, так как много тут всяких дел, пока не охота, если доделаю дело на следующей неделе - кончено попробую поставить новее ОС. АльтЛинукс что-то надежд мне не внушил никак, пробовал пользоваться - всё как-то плохо. Ладно, небольшой перерыв получится, бывает.

Можно попробовать отдать специалистам, но не охота - как то сам привык справляться.

ASUSTeK COMPUTER INC. VivoBook

AMD® Ryzen 7 5800h with radeon graphics × 16

хотя что-то там пытался с графикой сделать,  теперь эта получилась как-то AMD® Radeon graphics.

Печаль.

Кстати - играл не в вэйланде, а в стандартном окне...фпс согласно дисплею графика попроще конечно, а может там просто в файле...там почему-то всё закоментировано оказалось...раскомментировал вэйлэнд, но уже как-бы пофиг, всё равно всё полетело.

Это всё о чём было? Вам совет какой-то нужен или вы просто «хвастаетесь»?

  • Плюс 2

Рассказать о публикации


Ссылка на публикацию
1 079
[MAD-B]
Старший бета-тестер, Коллекционер
1 510 публикаций
41 643 боя

Хотя складывается впечатление, что тексты от ТС пишет новомодный ИИ.

  • Плюс 1

Рассказать о публикации


Ссылка на публикацию
Участник
154 публикации
7 763 боя
В 15.07.2024 в 00:02:32 пользователь uhS сказал:

Автор, ты там изредка выдыхай что ли

правильнее сказать было-бы "продыхай".

В 15.07.2024 в 12:15:35 пользователь Kringesmarine сказал:

Вам совет какой-то нужен или вы просто «хвастаетесь»?

всё сразу.

В 15.07.2024 в 19:07:18 пользователь uhS сказал:

Хотя складывается впечатление, что тексты от ТС пишет новомодный ИИ

значит, с опытом и возрастом, Вы будете всё больше и больше похожи на новомодный ИИ. Странно правда? Вы вроде будете взрослеть, а потом и стареть, и при этом будете казаться моднее и моднее - парадокс.

В корабли у меня получается просто потому, что в детстве у меня был спортивный разряд по парусному спорту, не сложная для меня игра, а так - часто не играю, но требуется. Чуть ранее по анрилу тоурнамент с ума сходил, контра мне не нравилась, после анрила казалась простецкой. А потом уже танки, а потом, когда на картах под кусты дэвы камешки начали подкладывать с обновами  - корабли.

 

Ах...да...я старше вас, сначала ЮТ, потом техника молодёжи, в школе класс по физике и математике который, на вторую страховку от перелома мне купили комп у соседа по даче - Радио 86 РК. На те времена Орион уже собирали, но в общем так вот. Поэтому я оказался попродвинутее сверстников. Что касается тех новоиспеченных ITшников, блещящих алгоритмами школьной сложности на просторах сети - в своём большинстве подходит

Впрочем писал о таком

В 14.07.2024 в 17:06:32 пользователь sports_interest сказал:

его болтовня переросла в доказательства того, что предложение человеческого языка не может передавать ни смысла ни интонации.

И всё было-бы не плохо, но ребята ко всему попадаются алчные, распространяющие клевету и нарушающие законы, а это уже совсем плохо. А так - я не айтишник, просто увлечённый, уже много лет

Рассказать о публикации


Ссылка на публикацию
4 092
[IA]
Альфа-тестер
3 739 публикаций
14 908 боёв

Сорри что не по теме, но давно за этой темой не следил, что сейчас используется для запуска win-программ на Linux. 

Раньше был Wine, но ничего тяжелее 1С не запускал, а как сейчас дела на этом фронте?

Рассказать о публикации


Ссылка на публикацию
Участник
154 публикации
7 763 боя
В 16.07.2024 в 10:30:18 пользователь Zasofig сказал:

Сорри что не по теме, но давно за этой темой не следил, что сейчас используется для запуска win-программ на Linux. 

Раньше был Wine, но ничего тяжелее 1С не запускал, а как сейчас дела на этом фронте?

сейчас порт-протон,  запускает всё. Но он вроде как и win, или переключается...

Изменено пользователем sports_interest

Рассказать о публикации


Ссылка на публикацию
Участник
154 публикации
7 763 боя

Я его всё-таки запустил, как только вернулся (уезжал почти на неделю).

Правда руководства написать не смогу, потому что потратил порядка 3 часов, и толи установка wine помогла, то-ли steam, не знаю, но в общем теперб с лаунчера качаю клиент. Я так понимаю, раз так - значит драйвера установил не криво. Сегодня снова вернул версию ubuntu 22.04 с 24.04, хочется верить, что когда нибудь я позвлю себе ноут без заморочек купить и чтобы отдохнуть в корабли гамая, и чтобы FPGA хотя-бы GOWIN программировать, и Blender 3D чтобы шёл, я в нём для 3D печати когда мне надо файлы готовлю, ну и конечно Lazarus с FPC - очень классная IDE , всегда можно накидать нужную программу для расчётов и причём с графическим интерфейсом, это я чисто на Ubuntu всё ставлю, кроме кораблей, но ещё попробую GOWIN через порт протон, потому что у меня кабель программатор определяет для nano9k, а вот залить прошивку пока не удавалось. Уезжал я конечно по очень прискорбному поводу, нельзя было игнорировать такой прискорбный факт для близких, да и меня тоже, и нужно было ехать обязательно.

Рассказать о публикации


Ссылка на публикацию
Участник
154 публикации
7 763 боя

Всё получилось. Страниц правда пролистал за три часа, не айтишник, так - от случая к случаю. У айтишников это вообще наверное на раз-два и без поисковика. И даже наверное без перезагрузок. Драйвера-то у меня амд-шные, а видеокарточка в ЦП интегрирована...как-то так у них это всё там.

Правда с биноклем неприличность какая-то случилось...как-то управление им стало работать через...странные манипуляции.

Правда с биноклем неприличность какая-то случилось...как-то управление им стало работать через...странные манипуляции.  Жесть, хорошо, что хоть не через комбинацию клавиш бинокль сделали. А для чего так сделали? Что реально так лучше? Может у меня с руками что-то не как у всех?

Да, за "тормоз" для бинокля - отдельное спасибо, я-то думал что это только для движения средства, а оказывается ещё для движения рук, когда бинокль поднять надо, и видимо ещё секунду дают на размышление - надо или не надо биноклб поднять. Ведь правда нужно - вдруг бинокль уже не нужен, зачем руки напрягать лишний раз... Прям не бинокль, а выплаты какие-то.

Изменено пользователем sports_interest

Рассказать о публикации


Ссылка на публикацию
Участник
154 публикации
7 763 боя

Это не Lesta вроде, потому что если пробел поставить на бинокль - то тормоз для бинокля перестаёт работать. Это или в вайне, или в стиме, где не знаю, надо искать будет - не пользуюсь и не знаю.

Завтра попробую fpga прошить с портпротона...точнее уже сегодня. Я понимаю что то что я пишу никому не интересно, но ведь все про железо и ПО, ничего лишнего. Может кому и интересно...

 

RВроде установилось всё и драйвера вроде как тоже. Позже попробую прошить отладку. Не получается с портпротона, попробую так https://habr.com/ru/companies/timeweb/articles/748594/ , та-же версия ОС

Screenshot from 2024-07-28 11-32-36.png

Изменено пользователем sports_interest

Рассказать о публикации


Ссылка на публикацию

×